Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Updates #105

Open
wants to merge 30 commits into
base: main
Choose a base branch
from
Open

Updates #105

wants to merge 30 commits into from

Commits on May 19, 2019

  1. Don't keep generated 7020 bitstream in version control.

    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 19, 2019
    Configuration menu
    Copy the full SHA
    8c94418 View commit details
    Browse the repository at this point in the history
  2. Add parallella_base intermediate files to gitignore

    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 19, 2019
    Configuration menu
    Copy the full SHA
    c0a4e47 View commit details
    Browse the repository at this point in the history

Commits on May 21, 2019

  1. parallella/fpga: Set CFG_PLATFORM to "ZYNQ"

    Use oh_verilog_define instead of oh_synthesis_options.
    
    The below commit introduced the CFG_PLATFORM variable which we now need
    to define in our FPGA projects.
    
    commit 998f302
    Author: Andreas Olofsson <andreas@adapteva.com>
    Date:   Wed Nov 22 11:32:20 2017 -0500
    
        Fixed elink platform compile errors
        -Ultrascale changes broke the zynq design
        -Adding CFG_PLATFORM variable to control compilation target
    
    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 21, 2019
    Configuration menu
    Copy the full SHA
    f3e7c53 View commit details
    Browse the repository at this point in the history
  2. common: oh_mux: Fix Vivado issues

    |= operator is not supported.
    
    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 21, 2019
    Configuration menu
    Copy the full SHA
    1f439c0 View commit details
    Browse the repository at this point in the history
  3. elink: Fix I/O primitives for Zynq

    Fix remaining issues for Zynq that were introduced by the Ultrascale+
    implementation.
    
    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 21, 2019
    Configuration menu
    Copy the full SHA
    102b293 View commit details
    Browse the repository at this point in the history
  4. parallella/fpga: Regenerate block design scripts

    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 21, 2019
    Configuration menu
    Copy the full SHA
    a2e31d6 View commit details
    Browse the repository at this point in the history
  5. xilibs: Upgrade IPs to Vivado 2018.2

    Signed-off-by: Ola Jeppsson <ola@adapteva.com>
    olajep committed May 21, 2019
    Configuration menu
    Copy the full SHA
    1be6358 View commit details
    Browse the repository at this point in the history

Commits on May 25, 2019

  1. Configuration menu
    Copy the full SHA
    b2d93da View commit details
    Browse the repository at this point in the history
  2. Don't keep generated 7020 bitstream in version control

    Missed this one in the previous commit.
    olajep committed May 25, 2019
    Configuration menu
    Copy the full SHA
    8413afd View commit details
    Browse the repository at this point in the history

Commits on May 26, 2019

  1. parallella/fpga: Use offset 0 over 8 for hdmi_d pins

    This is in preparation of adding HDMI block designs for 7020 and 7010.
    For some reason Vivado automatically strips removes the offset during
    synthesis(?) which breaks the constraints.
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    e3f19ed View commit details
    Browse the repository at this point in the history
  2. parallella/fpga: Add hdmi_e16_z7020 project

    Initial import from github.com/peteasa/parallella-fpga.git
    
    TODO:
    Need to add ADI IPs to the repository.
    Not tested.
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    339e662 View commit details
    Browse the repository at this point in the history
  3. ip: fifo_async_104x32: Use GLOBAL synthesis flow

    Use parallella-fgpa version.
    IIRC oh master doesn't synthesize the headless block designs correctly.
    But the parallella-fpga project HDMI projects does work with
    parallella-base from oh.
    
    This is the most likely culprit I can come up with, but I haven't
    tested it yet.
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    00fa7f8 View commit details
    Browse the repository at this point in the history
  4. Configuration menu
    Copy the full SHA
    86e4eba View commit details
    Browse the repository at this point in the history
  5. adi: Import ADI HDL IP:s needed by HDMI designs

    Import:
    https://github.com/analogdevicesinc/hdl.git
    Branch: hdl_2018_r2
    Commit: 28df7548b002cf7201ed291a85edfa7643b5f52b
    
    These directories preseved, the rest was pruned:
    .
    ├── library
    │   ├── altera
    │   │   └── common
    │   │       ├── alt_ifconv
    │   │       ├── alt_mem_asym
    │   │       ├── alt_mul
    │   │       └── alt_serdes
    │   ├── axi_clkgen
    │   │   └── bd
    │   ├── axi_hdmi_tx
    │   ├── axi_spdif_tx
    │   ├── common
    │   ├── scripts
    │   └── xilinx
    │       └── common
    └── projects
        └── scripts
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    b3785c4 View commit details
    Browse the repository at this point in the history
  6. adi: Add Makefile

    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    d9856f5 View commit details
    Browse the repository at this point in the history
  7. Configuration menu
    Copy the full SHA
    100a825 View commit details
    Browse the repository at this point in the history
  8. Configuration menu
    Copy the full SHA
    b2e964d View commit details
    Browse the repository at this point in the history
  9. Configuration menu
    Copy the full SHA
    9a8ad92 View commit details
    Browse the repository at this point in the history
  10. parallella/fpga: Add hdmi_e16_z7010 project

    Import from github.com/peteasa/parallella-fpga.git
    
    H/T @peteasa !
    
    TODO:
    Not tested!
    Replace AXI_VDMA with axi_dmac from analogdevicesinc/hdl
    See this in analogdevices/hdl commit for info
    
    commit a0e3997687a32933c0bef3e255430199a5e8c3c1
    Author: AndreiGrozav <andrei.grozav@analog.com>
    Date:   Fri May 11 18:42:59 2018 +0300
    
        common/zed_system_bd.tcl: Replace VDMA
    
        Replace Xilinx VDMA IP with ADI axi_dmac IP.
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    a69142d View commit details
    Browse the repository at this point in the history
  11. adi: hdl: Import axi_dmac IP

    The reference ADI design changed from using axi_vdma to axi_dmac.
    
    Import axi_dmac directory from upstream analogdevicesinc/hdl
    hdl_2018_R2 branch.
    Commit:
    28df7548b002cf7201ed291a85edfa7643b5f52b
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    4be69a2 View commit details
    Browse the repository at this point in the history
  12. Configuration menu
    Copy the full SHA
    d8f88cd View commit details
    Browse the repository at this point in the history
  13. Configuration menu
    Copy the full SHA
    e6b71be View commit details
    Browse the repository at this point in the history
  14. parallella/fpga: hdmi: Mimic ADI reference design

    We do the same thing as this commit in analogdevicesinc/hdl.git
    
    commit a0e3997687a32933c0bef3e255430199a5e8c3c1
    Author: AndreiGrozav <andrei.grozav@analog.com>
    Date:   Fri May 11 18:42:59 2018 +0300
    
        common/zed_system_bd.tcl: Replace VDMA
    
        Replace Xilinx VDMA IP with ADI axi_dmac IP.
    
    Not tested.
    olajep committed May 26, 2019
    Configuration menu
    Copy the full SHA
    419fdf2 View commit details
    Browse the repository at this point in the history

Commits on May 27, 2019

  1. parallella/fpga: hdmi z7010: Try fixing clock implementation error

    Apparently axi_dmac uses more resources than axi_vdma did.
    
    Relax clk_wiz_0 (used by SPDIF) as much as possible. No buffers on input
    or output.
    
    Unfortunately implementation still errors out because of block-RAM
    over-utilization:
    
    Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
    ERROR: [Place 30-640] Place Check : This design requires more RAMB18 and
    RAMB36/FIFO cells than are available in the target device. This design
    requires 121 of such cell types but only 120 compatible sites are
    available in the target device. Please analyze your synthesis results
    and constraints to ensure the design is mapped to Xilinx primitives as
    expected. If so, please consider targeting a larger device.
    INFO: [Timing 38-35] Done setting XDC timing constraints.
    Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 43b4e0ea
    olajep committed May 27, 2019
    Configuration menu
    Copy the full SHA
    20b54a2 View commit details
    Browse the repository at this point in the history
  2. oh_memory_ram: Use LUTs over BRAM on ZYNQ

    There is not enough BRAM cells for the z7010 HDMI project.
    olajep committed May 27, 2019
    Configuration menu
    Copy the full SHA
    6a61271 View commit details
    Browse the repository at this point in the history
  3. Configuration menu
    Copy the full SHA
    e7da362 View commit details
    Browse the repository at this point in the history
  4. parallella/fpga: HDMI: Minor visual block design improvements

    Rename clk_wiz_0 to spdif_clk_0 for clarity.
    Use interface connection between axi_dmac_0/m_axis <--> axi_hdmi_tx_0/s_axis.
    olajep committed May 27, 2019
    Configuration menu
    Copy the full SHA
    b57c799 View commit details
    Browse the repository at this point in the history
  5. Configuration menu
    Copy the full SHA
    c964034 View commit details
    Browse the repository at this point in the history
  6. Configuration menu
    Copy the full SHA
    a50cdb7 View commit details
    Browse the repository at this point in the history

Commits on May 28, 2019

  1. parallella/fpga: Fix timing warning

    WARNING: [Vivado 12-2489] -period contains time 3.333333 which will be
    rounded to 3.333 to ensure it is an integer multiple of 1 picosecond
    [/home/olaj/Projects/Adapteva/oh/src/parallella/fpga/paral
    lella_timing.xdc:1]
    olajep committed May 28, 2019
    Configuration menu
    Copy the full SHA
    9e60a0c View commit details
    Browse the repository at this point in the history