Skip to content

Single port Random Access Memory (RAM)" typically refers to a type of memory module or chip where data can be read from or written to through a single port, meaning it can handle one data access operation at a time.

License

Notifications You must be signed in to change notification settings

GauravDhak/Single-port-Random-Access-Memory-RAM-

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 
 
 
 
 

Repository files navigation

The Single Port Random Access Memory (RAM) project is a Verilog implementation of a simple RAM controller with single-port access. It provides basic functionality to read from and write to a memory array using address, write enable, and chip enable signals. The project is aimed at educational purposes and serves as a foundation for understanding memory controllers in digital design.

Features:

  • Implements a single-port RAM controller module in Verilog.

  • Supports read and write operations to the memory array.

  • Provides synchronous operation with the clock signal for proper timing.

  • Includes reset functionality to initialize the memory array during startup.

Project Structure:

  • single_port_ram.v: Verilog module defining the single-port RAM controller.

  • single_port_ram_tb.v: Test bench for simulating the single-port RAM controller.

  • README.md: Project documentation including description, usage instructions, and pin table.

Pin Diagram-

1_NXuzy-9xL191LvbYnBFI5Q

Pin Table:-

  • clk -> Clock input signal

  • rst -> Reset input signal

  • addr -> Address input signal

  • we -> Write enable input signal

  • ce -> Chip enable input signal

  • data -> Bidirectional data input/output signal

Waveform:-

Screenshot 2024-06-07 073523

Screenshot 2024-06-07 072954

About

Single port Random Access Memory (RAM)" typically refers to a type of memory module or chip where data can be read from or written to through a single port, meaning it can handle one data access operation at a time.

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published