Skip to content

Commit

Permalink
Added license lint
Browse files Browse the repository at this point in the history
  • Loading branch information
HU90m committed Aug 31, 2024
1 parent eaefe32 commit a705b79
Show file tree
Hide file tree
Showing 56 changed files with 302 additions and 1 deletion.
3 changes: 3 additions & 0 deletions .gitattributes
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
rtl/bus/tl_main_pkg.sv linguist-generated=true
rtl/bus/tl_ifetch_pkg.sv linguist-generated=true
rtl/bus/xbar_main.sv linguist-generated=true
Expand Down
3 changes: 3 additions & 0 deletions .github/workflows/ci.yml
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
name: CI

on:
Expand Down
3 changes: 3 additions & 0 deletions .github/workflows/site.yml
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
name: Deploy to GitHub Pages

# Deploy on pushes to the main branch
Expand Down
3 changes: 3 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
target/

# FuseSoC and Sofware builds are placed in build
Expand Down
47 changes: 47 additions & 0 deletions .reuse/dep5
Original file line number Diff line number Diff line change
@@ -0,0 +1,47 @@
Format: https://www.debian.org/doc/packaging-manuals/copyright-format/1.0/

Files: README.md
*.lock
*.md
data/*
doc/*
sw/cheri/sim_boot_stub/sim_boot_stub
vendor/lowrisc_ip/*
vendor/lowrisc_ibex/*
vendor/patches/*
Copyright: lowRISC contributors
License: Apache-2.0

Files: vendor/display_drivers/*
Copyright: 2022 Douglas Reis
License: Apache-2.0

Files: vendor/cheriot_safe/*
Copyright: Microsoft Corporation
License: Apache-2.0

Files: vendor/pulp_riscv_dbg/*
Copyright: 2020 ETH Zurich and University of Bologna
License: SHL-0.51

Files: vendor/open_hbmc/*
Copyright: © 2020-2022, Vaagn Oganesyan <ovgn@protonmail.com>
License: Apache-2.0

Files: vendor/newae/crypto/tiny-AES128-C/*
Copyright: tiny-AES-c contributors
License: Unlicense

Files: vendor/newae/simpleserial*
vendor/newae/hal/hal.h
vendor/newae/basic-passwdcheck/basic-passwdcheck.c
Copyright: 2012-2017 NewAE Technology Inc
License: GPL-3.0-or-later

Files: vendor/newae/crypto/aes-independant.*
Copyright: 2012 Colin O'Flynn <coflynn@newae.com>
License: GPL-3.0-or-later

Files: sw/legacy/demo/lcd_st7735/coremark/ee_printf.c
Copyright: 2018 Embedded Microprocessor Benchmark Consortium (EEMBC)
License: Apache-2.0
4 changes: 4 additions & 0 deletions book.toml
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

[book]
language = "en"
multilingual = false
Expand Down
4 changes: 4 additions & 0 deletions flake.nix
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
{
description = "Sonata System";
inputs = {
Expand Down Expand Up @@ -78,6 +81,7 @@
};

lint-all = pkgs.writers.writeBashBin "lint-all" ''
${getExe pkgs.reuse} --suppress-deprecation lint
${getExe lint-markdown}
${getExe lint-python}
'';
Expand Down
1 change: 0 additions & 1 deletion rtl/ip/spi/dv/verilator/.gitignore

This file was deleted.

5 changes: 5 additions & 0 deletions rtl/ip/spi/dv/verilator/spi_core_tb.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
module spi_core_tb;
logic clk, rst_n;

Expand Down
5 changes: 5 additions & 0 deletions rtl/ip/spi/dv/verilator/spi_recv.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
module spi_recv #(parameter bit CPHA = 1'b0) (
input spi_clk,
input spi_copi_i
Expand Down
5 changes: 5 additions & 0 deletions rtl/ip/spi/dv/verilator/spi_trans.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
module spi_trans #(parameter bit CPHA = 1'b0) (
input rst_ni,
input spi_clk,
Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

cmake_minimum_required(VERSION 3.13)
include(FetchContent)

Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/boot/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

set(TEST boot_loader.cc)

get_filename_component(NAME ${TEST} NAME_WE)
Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/boot/boot.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

.include "assembly-helpers.s"

.section .text.start, "ax", @progbits
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/boot/boot_loader.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE
#define CHERIOT_PLATFORM_CUSTOM_UART
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/boot/elf.h
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#pragma once
#include <stdint.h>

Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/checks/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
set(CHECKS
cheri_sanity.cc
gpio_check.cc
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/checks/cheri_sanity.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE

Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/checks/gpio_check.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE

Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/checks/hyperram_test.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE
#define CHERIOT_PLATFORM_CUSTOM_UART
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/checks/revocation_test.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE
#define CHERIOT_PLATFORM_CUSTOM_UART
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/checks/spi_test.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE
#define CHERIOT_PLATFORM_CUSTOM_UART
Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/checks/tag_test.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

.include "assembly-helpers.s"

.section .text.start, "ax", @progbits
Expand Down
6 changes: 6 additions & 0 deletions sw/cheri/checks/uart_check.cc
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/

#define CHERIOT_NO_AMBIENT_MALLOC
#define CHERIOT_NO_NEW_DELETE
#define CHERIOT_PLATFORM_CUSTOM_UART
Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/cheriot_toolchain.cmake
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

set(LINKER_SCRIPT "${CMAKE_CURRENT_LIST_DIR}/link.ld")

set(CMAKE_SYSTEM_NAME Generic)
Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/common/boot.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
.include "assembly-helpers.s"

.section .text.start, "ax", @progbits
Expand Down
6 changes: 6 additions & 0 deletions sw/cheri/common/flash-utils.hh
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/

#pragma once
#include "timer-utils.hh"
#include <cheri.hh>
Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/common/hyperram_exec_test.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
.include "assembly-helpers.s"

.section .text, "ax", @progbits
Expand Down
6 changes: 6 additions & 0 deletions sw/cheri/common/sonata-peripherals.hh
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/

#pragma once
#include "../../common/defs.h"
#include <cheri.hh>
Expand Down
6 changes: 6 additions & 0 deletions sw/cheri/common/timer-utils.hh
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/

#pragma once
#include <stdint.h>

Expand Down
6 changes: 6 additions & 0 deletions sw/cheri/common/uart-utils.hh
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/

#pragma once
#include "../../common/defs.h"
#include <platform-uart.hh>
Expand Down
4 changes: 4 additions & 0 deletions sw/cheri/error_leds/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0

set(NAME error_leds)

add_executable(${NAME} "error.S")
Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/error_leds/error.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
.include "assembly-helpers.s"

.section .text.start, "ax", @progbits
Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/link.ld
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
SECTIONS
{
. = 0x00100000;
Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/sim_boot_stub/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
CFLAGS=-target riscv32-unknown-unknown -mcpu=cheriot -mabi=cheriot \
-mxcheri-rvc -mrelax -fshort-wchar -nodefaultlibs

Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/sim_boot_stub/boot.S
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
.section .text.start, "ax", @progbits
.zero 0x80

Expand Down
5 changes: 5 additions & 0 deletions sw/cheri/sim_boot_stub/link.ld
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
SECTIONS
{
. = 0x00100000;
Expand Down
3 changes: 3 additions & 0 deletions sw/cheri/tests/CMakeLists.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
set(TESTS
test_runner.cc
)
Expand Down
29 changes: 29 additions & 0 deletions sw/cheri/tests/boot.S
Original file line number Diff line number Diff line change
@@ -0,0 +1,29 @@
# Copyright lowRISC contributors.
# Licensed under the Apache License, Version 2.0, see LICENSE for details.
# SPDX-License-Identifier: Apache-2.0
.include "assembly-helpers.s"

.section .text.start, "ax", @progbits
.zero 0x80

.globl start
.p2align 2
.type start,@function
start:
// ca0 (first argument) contains the read-write root
cspecialr ca0, mtdc

// No bounds on stack, grows down from the end
li sp, 0x0010E000
csetaddr csp, ca0, sp
auipcc cra, 0
// Call the C++ entry point
la_abs t0, entry_point
csetaddr cra, cra, t0
// Store capability to stack
csc cra, 0(csp)
// Load capability from stack
clc cra, 0(csp)
// Clear capability from stack
csc cnull, 0(csp)
cjalr cra
5 changes: 5 additions & 0 deletions sw/cheri/tests/test_runner.hh
Original file line number Diff line number Diff line change
@@ -1,3 +1,8 @@
/**
* Copyright lowRISC contributors.
* Licensed under the Apache License, Version 2.0, see LICENSE for details.
* SPDX-License-Identifier: Apache-2.0
*/
#pragma once
#include "../common/sonata-peripherals.hh"
#include "../common/uart-utils.hh"
Expand Down
Loading

0 comments on commit a705b79

Please sign in to comment.