Skip to content

Commit

Permalink
sync verilog with previous commit
Browse files Browse the repository at this point in the history
  • Loading branch information
Dolu1990 committed Apr 25, 2024
1 parent 8542a57 commit 1979a64
Show file tree
Hide file tree
Showing 18 changed files with 38 additions and 18 deletions.
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -4763,6 +4764,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_FullCfu.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_FullCfuDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -46,6 +46,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -5314,6 +5315,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -5045,6 +5046,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_IMAC.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_IMACDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -5337,6 +5338,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -6361,6 +6362,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -4258,6 +4259,7 @@ module VexRiscv (
assign dBus_rsp_ready = ((dBus_cmd_halfPipe_valid && (! dBusWishbone_WE)) && dBusWishbone_ACK);
assign dBus_rsp_data = dBusWishbone_DAT_MISO;
assign dBus_rsp_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebugHwBP.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -4266,6 +4267,7 @@ module VexRiscv (
assign dBus_rsp_ready = ((dBus_cmd_halfPipe_valid && (! dBusWishbone_WE)) && dBusWishbone_ACK);
assign dBus_rsp_data = dBusWishbone_DAT_MISO;
assign dBus_rsp_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -3494,6 +3495,7 @@ module VexRiscv (
assign dBus_rsp_ready = ((dBus_cmd_halfPipe_valid && (! dBusWishbone_WE)) && dBusWishbone_ACK);
assign dBus_rsp_data = dBusWishbone_DAT_MISO;
assign dBus_rsp_error = 1'b0;
assign halted = DebugPlugin_haltIt;
assign IBusSimplePlugin_rspJoin_rspBuffer_c_io_flush = 1'b0;
always @(posedge clk) begin
if(reset) begin
Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebugHwBP.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -3502,6 +3503,7 @@ module VexRiscv (
assign dBus_rsp_ready = ((dBus_cmd_halfPipe_valid && (! dBusWishbone_WE)) && dBusWishbone_ACK);
assign dBus_rsp_data = dBusWishbone_DAT_MISO;
assign dBus_rsp_error = 1'b0;
assign halted = DebugPlugin_haltIt;
assign IBusSimplePlugin_rspJoin_rspBuffer_c_io_flush = 1'b0;
always @(posedge clk) begin
if(reset) begin
Expand Down
2 changes: 1 addition & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_Secure.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down
4 changes: 3 additions & 1 deletion pythondata_cpu_vexriscv/verilog/VexRiscv_SecureDebug.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
// Generator : SpinalHDL v1.9.4 git head : 270018552577f3bb8e5339ee2583c9c22d324215
// Component : VexRiscv
// Git hash : 63430af99f84cc1d11d58b556debe1cc3f238c67
// Git hash : 8542a5786b26857f3ef830ae9e72eec031df42d3

`timescale 1ns/1ps

Expand Down Expand Up @@ -38,6 +38,7 @@ module VexRiscv (
input wire dBusWishbone_ERR,
output wire [2:0] dBusWishbone_CTI,
output wire [1:0] dBusWishbone_BTE,
output wire halted,
input wire clk,
input wire reset,
input wire debugReset
Expand Down Expand Up @@ -8541,6 +8542,7 @@ module VexRiscv (
assign dBus_rsp_valid = _zz_dBus_rsp_valid;
assign dBus_rsp_payload_data = dBusWishbone_DAT_MISO_regNext;
assign dBus_rsp_payload_error = 1'b0;
assign halted = DebugPlugin_haltIt;
always @(posedge clk) begin
if(reset) begin
IBusCachedPlugin_fetchPc_pcReg <= externalResetVector;
Expand Down

0 comments on commit 1979a64

Please sign in to comment.