Skip to content

linux-arm64

linux-arm64 #1389

Triggered via workflow run October 22, 2024 02:53
@mmickommicko
completed 80182cf
Status Success
Total duration 26m 31s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
9s
linux-arm64-cadical
linux-arm64-python3
24s
linux-arm64-python3
linux-arm64-lingeling
3s
linux-arm64-lingeling
linux-arm64-btor2tools
5s
linux-arm64-btor2tools
linux-arm64-yosys
20m 22s
linux-arm64-yosys
linux-arm64-python2
28s
linux-arm64-python2
linux-arm64-picosat
3s
linux-arm64-picosat
linux-arm64-libpoly
4s
linux-arm64-libpoly
linux-arm64-graphviz
1m 3s
linux-arm64-graphviz
linux-arm64-imctk
11s
linux-arm64-imctk
linux-arm64-icestorm
12s
linux-arm64-icestorm
linux-arm64-prjtrellis
6s
linux-arm64-prjtrellis
linux-arm64-prjoxide
15s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
10s
linux-arm64-openfpgaloader
linux-arm64-avy
9s
linux-arm64-avy
linux-arm64-cvc4
9s
linux-arm64-cvc4
linux-arm64-yices
6s
linux-arm64-yices
linux-arm64-z3
14s
linux-arm64-z3
linux-arm64-gtkwave
17s
linux-arm64-gtkwave
linux-arm64-verilator
7m 34s
linux-arm64-verilator
linux-arm64-iverilog
10s
linux-arm64-iverilog
linux-arm64-utils
19s
linux-arm64-utils
linux-arm64-system-resources
5s
linux-arm64-system-resources
linux-arm64-xdot
16s
linux-arm64-xdot
linux-arm64-formal
3m 57s
linux-arm64-formal
linux-arm64-nextpnr-generic
13s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
14s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
28s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
14s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
9s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
9s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
1m 31s
linux-arm64-apicula
linux-arm64-python-programmers
14s
linux-arm64-python-programmers
linux-arm64-pyhdl
18s
linux-arm64-pyhdl
linux-arm64-cocotb
9s
linux-arm64-cocotb
linux-arm64-boolector
5s
linux-arm64-boolector
linux-arm64-bitwuzla
5s
linux-arm64-bitwuzla
linux-arm64-eqy
1m 33s
linux-arm64-eqy
linux-arm64-suprove
6s
linux-arm64-suprove
linux-arm64-aiger
10s
linux-arm64-aiger
linux-arm64-cvc5
20s
linux-arm64-cvc5
linux-arm64-smt-switch
13s
linux-arm64-smt-switch
linux-arm64-pono
9s
linux-arm64-pono
linux-arm64-default
4m 13s
linux-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
linux-arm64-verilator
Process completed with exit code 255.