Skip to content

windows-x64

windows-x64 #1375

Triggered via workflow run October 21, 2024 05:16
@mmickommicko
completed 80182cf
Status Success
Total duration 19m 52s
Artifacts

windows-x64.yml

on: workflow_run
windows-x64-cadical
10s
windows-x64-cadical
windows-x64-python3
23s
windows-x64-python3
windows-x64-lingeling
6s
windows-x64-lingeling
windows-x64-btor2tools
5s
windows-x64-btor2tools
windows-x64-yosys
12s
windows-x64-yosys
windows-x64-libpoly
8s
windows-x64-libpoly
windows-x64-imctk
6s
windows-x64-imctk
windows-x64-icestorm
7s
windows-x64-icestorm
windows-x64-prjtrellis
6s
windows-x64-prjtrellis
windows-x64-prjoxide
5s
windows-x64-prjoxide
windows-x64-openfpgaloader
7s
windows-x64-openfpgaloader
windows-x64-avy
6s
windows-x64-avy
windows-x64-cvc4
28s
windows-x64-cvc4
windows-x64-yices
7s
windows-x64-yices
windows-x64-z3
17m 2s
windows-x64-z3
windows-x64-gtkwave
6s
windows-x64-gtkwave
windows-x64-verilator
7m 48s
windows-x64-verilator
windows-x64-iverilog
7s
windows-x64-iverilog
windows-x64-utils
11s
windows-x64-utils
windows-x64-system-resources
4s
windows-x64-system-resources
windows-x64-formal
26s
windows-x64-formal
windows-x64-nextpnr-generic
10s
windows-x64-nextpnr-generic
windows-x64-nextpnr-ice40
14s
windows-x64-nextpnr-ice40
windows-x64-nextpnr-ecp5
18s
windows-x64-nextpnr-ecp5
windows-x64-nextpnr-machxo2
15s
windows-x64-nextpnr-machxo2
windows-x64-nextpnr-nexus
12s
windows-x64-nextpnr-nexus
windows-x64-nextpnr-himbaechel
11s
windows-x64-nextpnr-himbaechel
windows-x64-apicula
17s
windows-x64-apicula
windows-x64-pyhdl
13s
windows-x64-pyhdl
windows-x64-boolector
7s
windows-x64-boolector
windows-x64-bitwuzla
6s
windows-x64-bitwuzla
windows-x64-eqy
10s
windows-x64-eqy
windows-x64-cvc5
12s
windows-x64-cvc5
windows-x64-smt-switch
12s
windows-x64-smt-switch
windows-x64-pono
14s
windows-x64-pono
windows-x64-default
2m 21s
windows-x64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
windows-x64-verilator
Process completed with exit code 255.