Skip to content

windows-x64

windows-x64 #1374

Triggered via workflow run October 21, 2024 02:16
@mmickommicko
completed 80182cf
Status Success
Total duration 11m 12s
Artifacts

windows-x64.yml

on: workflow_run
windows-x64-cadical
4s
windows-x64-cadical
windows-x64-python3
23s
windows-x64-python3
windows-x64-lingeling
9s
windows-x64-lingeling
windows-x64-btor2tools
5s
windows-x64-btor2tools
windows-x64-yosys
7s
windows-x64-yosys
windows-x64-libpoly
9s
windows-x64-libpoly
windows-x64-imctk
10s
windows-x64-imctk
windows-x64-icestorm
5s
windows-x64-icestorm
windows-x64-prjtrellis
5s
windows-x64-prjtrellis
windows-x64-prjoxide
4s
windows-x64-prjoxide
windows-x64-openfpgaloader
7s
windows-x64-openfpgaloader
windows-x64-avy
4s
windows-x64-avy
windows-x64-cvc4
11s
windows-x64-cvc4
windows-x64-yices
6s
windows-x64-yices
windows-x64-z3
13s
windows-x64-z3
windows-x64-gtkwave
5s
windows-x64-gtkwave
windows-x64-verilator
7m 51s
windows-x64-verilator
windows-x64-iverilog
11s
windows-x64-iverilog
windows-x64-utils
10s
windows-x64-utils
windows-x64-system-resources
8s
windows-x64-system-resources
windows-x64-formal
31s
windows-x64-formal
windows-x64-nextpnr-generic
9s
windows-x64-nextpnr-generic
windows-x64-nextpnr-ice40
18s
windows-x64-nextpnr-ice40
windows-x64-nextpnr-ecp5
14s
windows-x64-nextpnr-ecp5
windows-x64-nextpnr-machxo2
21s
windows-x64-nextpnr-machxo2
windows-x64-nextpnr-nexus
9s
windows-x64-nextpnr-nexus
windows-x64-nextpnr-himbaechel
17s
windows-x64-nextpnr-himbaechel
windows-x64-apicula
16s
windows-x64-apicula
windows-x64-pyhdl
1m 41s
windows-x64-pyhdl
windows-x64-boolector
5s
windows-x64-boolector
windows-x64-bitwuzla
13s
windows-x64-bitwuzla
windows-x64-eqy
10s
windows-x64-eqy
windows-x64-cvc5
9s
windows-x64-cvc5
windows-x64-smt-switch
6s
windows-x64-smt-switch
windows-x64-pono
9s
windows-x64-pono
windows-x64-default
2m 36s
windows-x64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
windows-x64-verilator
Process completed with exit code 255.