Skip to content

darwin-arm64

darwin-arm64 #964

Triggered via workflow run October 20, 2024 02:31
@mmickommicko
completed 80182cf
Status Success
Total duration 7m 35s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
10s
darwin-arm64-cadical
darwin-arm64-yosys
6s
darwin-arm64-yosys
darwin-arm64-python3
23s
darwin-arm64-python3
darwin-arm64-lingeling
8s
darwin-arm64-lingeling
darwin-arm64-btor2tools
6s
darwin-arm64-btor2tools
darwin-arm64-ghdl
9s
darwin-arm64-ghdl
darwin-arm64-picosat
6s
darwin-arm64-picosat
darwin-arm64-libpoly
9s
darwin-arm64-libpoly
darwin-arm64-graphviz
57s
darwin-arm64-graphviz
darwin-arm64-imctk
6s
darwin-arm64-imctk
darwin-arm64-icestorm
6s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
5s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
4s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
2m 41s
darwin-arm64-openfpgaloader
darwin-arm64-avy
10s
darwin-arm64-avy
darwin-arm64-cvc4
9s
darwin-arm64-cvc4
darwin-arm64-yices
10s
darwin-arm64-yices
darwin-arm64-z3
12s
darwin-arm64-z3
darwin-arm64-gtkwave
8s
darwin-arm64-gtkwave
darwin-arm64-verilator
9s
darwin-arm64-verilator
darwin-arm64-iverilog
7s
darwin-arm64-iverilog
darwin-arm64-utils
19s
darwin-arm64-utils
darwin-arm64-system-resources
10s
darwin-arm64-system-resources
darwin-arm64-eqy
6s
darwin-arm64-eqy
darwin-arm64-xdot
15s
darwin-arm64-xdot
darwin-arm64-formal
21s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
14s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
14s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
15s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
18s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
9s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
10s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
2m 4s
darwin-arm64-apicula
darwin-arm64-python-programmers
11s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
14s
darwin-arm64-pyhdl
darwin-arm64-boolector
5s
darwin-arm64-boolector
darwin-arm64-bitwuzla
12s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
7s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
5s
darwin-arm64-aiger
darwin-arm64-cvc5
10s
darwin-arm64-cvc5
darwin-arm64-smt-switch
6s
darwin-arm64-smt-switch
darwin-arm64-pono
7s
darwin-arm64-pono
darwin-arm64-default
4m 26s
darwin-arm64-default
Fit to window
Zoom out
Zoom in