Skip to content

darwin-arm64

darwin-arm64 #962

Triggered via workflow run October 18, 2024 02:50
@mmickommicko
completed 80182cf
Status Success
Total duration 23m 6s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
11s
darwin-arm64-cadical
darwin-arm64-yosys
16m 23s
darwin-arm64-yosys
darwin-arm64-python3
24s
darwin-arm64-python3
darwin-arm64-lingeling
5s
darwin-arm64-lingeling
darwin-arm64-btor2tools
4s
darwin-arm64-btor2tools
darwin-arm64-ghdl
12s
darwin-arm64-ghdl
darwin-arm64-picosat
9s
darwin-arm64-picosat
darwin-arm64-libpoly
4s
darwin-arm64-libpoly
darwin-arm64-graphviz
1m 8s
darwin-arm64-graphviz
darwin-arm64-imctk
13s
darwin-arm64-imctk
darwin-arm64-icestorm
7s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
5s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
5s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
2m 45s
darwin-arm64-openfpgaloader
darwin-arm64-avy
10s
darwin-arm64-avy
darwin-arm64-cvc4
20s
darwin-arm64-cvc4
darwin-arm64-yices
12s
darwin-arm64-yices
darwin-arm64-z3
6s
darwin-arm64-z3
darwin-arm64-gtkwave
4m 1s
darwin-arm64-gtkwave
darwin-arm64-verilator
9m 3s
darwin-arm64-verilator
darwin-arm64-iverilog
6s
darwin-arm64-iverilog
darwin-arm64-utils
11s
darwin-arm64-utils
darwin-arm64-system-resources
9s
darwin-arm64-system-resources
darwin-arm64-eqy
2m 3s
darwin-arm64-eqy
darwin-arm64-xdot
16s
darwin-arm64-xdot
darwin-arm64-formal
4m 9s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
10s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
14s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
25s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
14s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
10s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
10s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
11s
darwin-arm64-apicula
darwin-arm64-python-programmers
11s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
17s
darwin-arm64-pyhdl
darwin-arm64-boolector
6s
darwin-arm64-boolector
darwin-arm64-bitwuzla
7s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
1m 58s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
6s
darwin-arm64-aiger
darwin-arm64-cvc5
11s
darwin-arm64-cvc5
darwin-arm64-smt-switch
9s
darwin-arm64-smt-switch
darwin-arm64-pono
9s
darwin-arm64-pono
darwin-arm64-default
4m 18s
darwin-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
darwin-arm64-gtkwave
Failed to download action 'https://github.com/repos/actions/checkout/tarball/eef61447b9ff4aafe5dcd4e0bbf5d482be7e7871'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-gtkwave
Back off 11.414 seconds before retry.
darwin-arm64-gtkwave
Failed to download action 'https://github.com/repos/actions/checkout/tarball/eef61447b9ff4aafe5dcd4e0bbf5d482be7e7871'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-gtkwave
Back off 24.144 seconds before retry.