Skip to content

linux-x64

linux-x64 #1308

Triggered via schedule October 18, 2024 02:04
Status Success
Total duration 22m 17s
Artifacts

linux-x64.yml

on: schedule
linux-x64-python3-native
23s
linux-x64-python3-native
linux-x64-nextpnr-bba
5s
linux-x64-nextpnr-bba
linux-x64-cadical
10s
linux-x64-cadical
linux-x64-lingeling
7s
linux-x64-lingeling
linux-x64-btor2tools
10s
linux-x64-btor2tools
linux-x64-symfpu
6s
linux-x64-symfpu
linux-x64-ghdl
10s
linux-x64-ghdl
linux-x64-icestorm
11s
linux-x64-icestorm
linux-x64-prjoxide
6s
linux-x64-prjoxide
linux-x64-picosat
9s
linux-x64-picosat
linux-x64-libpoly
11s
linux-x64-libpoly
linux-x64-graphviz
1m 14s
linux-x64-graphviz
linux-x64-imctk
5s
linux-x64-imctk
linux-x64-prjtrellis
5s
linux-x64-prjtrellis
linux-x64-openfpgaloader
1m 48s
linux-x64-openfpgaloader
linux-x64-avy
8s
linux-x64-avy
linux-x64-cvc4
9s
linux-x64-cvc4
linux-x64-yices
9s
linux-x64-yices
linux-x64-z3
14m 35s
linux-x64-z3
linux-x64-gtkwave
7s
linux-x64-gtkwave
linux-x64-verilator
6m 7s
linux-x64-verilator
linux-x64-iverilog
7s
linux-x64-iverilog
linux-x64-utils
14s
linux-x64-utils
linux-x64-system-resources
4s
linux-x64-system-resources
linux-x64-prjtrellis-bba
12s
linux-x64-prjtrellis-bba
linux-x64-python2-native
28s
linux-x64-python2-native
linux-x64-abc
13s
linux-x64-abc
linux-x64-python3
38s
linux-x64-python3
linux-x64-boolector
9s
linux-x64-boolector
linux-x64-bitwuzla
8s
linux-x64-bitwuzla
linux-x64-icestorm-bba
11s
linux-x64-icestorm-bba
linux-x64-prjoxide-bba
7s
linux-x64-prjoxide-bba
linux-x64-aiger
5s
linux-x64-aiger
linux-x64-cvc5
17s
linux-x64-cvc5
linux-x64-python2
29s
linux-x64-python2
linux-x64-yosys
15m 1s
linux-x64-yosys
linux-x64-apicula
4m 37s
linux-x64-apicula
linux-x64-nextpnr-ecp5
14s
linux-x64-nextpnr-ecp5
linux-x64-nextpnr-machxo2
14s
linux-x64-nextpnr-machxo2
linux-x64-nextpnr-himbaechel
15s
linux-x64-nextpnr-himbaechel
linux-x64-nextpnr-generic
8s
linux-x64-nextpnr-generic
linux-x64-xdot
16s
linux-x64-xdot
linux-x64-formal
3m 43s
linux-x64-formal
linux-x64-python-programmers
17s
linux-x64-python-programmers
linux-x64-pyhdl
10s
linux-x64-pyhdl
linux-x64-cocotb
2m 2s
linux-x64-cocotb
linux-x64-nextpnr-ice40
24s
linux-x64-nextpnr-ice40
linux-x64-nextpnr-nexus
20s
linux-x64-nextpnr-nexus
linux-x64-smt-switch
9s
linux-x64-smt-switch
linux-x64-suprove
12s
linux-x64-suprove
linux-x64-ghdl-yosys-plugin
1m 17s
linux-x64-ghdl-yosys-plugin
linux-x64-nextpnr-himbaechel-gowin
9s
linux-x64-nextpnr-himbaechel-gowin
linux-x64-pono
7s
linux-x64-pono
linux-x64-default
5m 3s
linux-x64-default
Fit to window
Zoom out
Zoom in

Annotations

4 warnings
linux-x64-apicula
Failed to download action 'https://github.com/repos/actions/checkout/tarball/eef61447b9ff4aafe5dcd4e0bbf5d482be7e7871'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
linux-x64-apicula
Back off 20.687 seconds before retry.
linux-x64-apicula
Failed to download action 'https://github.com/repos/actions/checkout/tarball/eef61447b9ff4aafe5dcd4e0bbf5d482be7e7871'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
linux-x64-apicula
Back off 11.167 seconds before retry.