Skip to content

darwin-arm64

darwin-arm64 #960

Triggered via workflow run October 16, 2024 02:51
@mmickommicko
completed 80182cf
Status Success
Total duration 23m 29s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
12s
darwin-arm64-cadical
darwin-arm64-yosys
16m 19s
darwin-arm64-yosys
darwin-arm64-python3
32s
darwin-arm64-python3
darwin-arm64-lingeling
10s
darwin-arm64-lingeling
darwin-arm64-btor2tools
9s
darwin-arm64-btor2tools
darwin-arm64-ghdl
8s
darwin-arm64-ghdl
darwin-arm64-picosat
4s
darwin-arm64-picosat
darwin-arm64-libpoly
10s
darwin-arm64-libpoly
darwin-arm64-graphviz
57s
darwin-arm64-graphviz
darwin-arm64-imctk
7s
darwin-arm64-imctk
darwin-arm64-icestorm
5s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
13s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
12s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
5s
darwin-arm64-openfpgaloader
darwin-arm64-avy
9s
darwin-arm64-avy
darwin-arm64-cvc4
14s
darwin-arm64-cvc4
darwin-arm64-yices
5s
darwin-arm64-yices
darwin-arm64-z3
14m 52s
darwin-arm64-z3
darwin-arm64-gtkwave
11s
darwin-arm64-gtkwave
darwin-arm64-verilator
9m 14s
darwin-arm64-verilator
darwin-arm64-iverilog
12s
darwin-arm64-iverilog
darwin-arm64-utils
10s
darwin-arm64-utils
darwin-arm64-system-resources
6s
darwin-arm64-system-resources
darwin-arm64-eqy
2m 21s
darwin-arm64-eqy
darwin-arm64-xdot
10s
darwin-arm64-xdot
darwin-arm64-formal
4m 20s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
10s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
19s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
23s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
14s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
17s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
10s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
17s
darwin-arm64-apicula
darwin-arm64-python-programmers
15s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
10s
darwin-arm64-pyhdl
darwin-arm64-boolector
6s
darwin-arm64-boolector
darwin-arm64-bitwuzla
13s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
2m 10s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
13s
darwin-arm64-aiger
darwin-arm64-cvc5
17s
darwin-arm64-cvc5
darwin-arm64-smt-switch
14s
darwin-arm64-smt-switch
darwin-arm64-pono
7s
darwin-arm64-pono
darwin-arm64-default
4m 25s
darwin-arm64-default
Fit to window
Zoom out
Zoom in