Skip to content

linux-arm64

linux-arm64 #1381

Triggered via workflow run October 15, 2024 02:53
@mmickommicko
completed 80182cf
Status Success
Total duration 26m 29s
Artifacts

linux-arm64.yml

on: workflow_run
linux-arm64-cadical
6s
linux-arm64-cadical
linux-arm64-python3
26s
linux-arm64-python3
linux-arm64-lingeling
6s
linux-arm64-lingeling
linux-arm64-btor2tools
9s
linux-arm64-btor2tools
linux-arm64-yosys
20m 2s
linux-arm64-yosys
linux-arm64-python2
19s
linux-arm64-python2
linux-arm64-picosat
9s
linux-arm64-picosat
linux-arm64-libpoly
7s
linux-arm64-libpoly
linux-arm64-graphviz
1m 10s
linux-arm64-graphviz
linux-arm64-imctk
5s
linux-arm64-imctk
linux-arm64-icestorm
7s
linux-arm64-icestorm
linux-arm64-prjtrellis
7s
linux-arm64-prjtrellis
linux-arm64-prjoxide
10s
linux-arm64-prjoxide
linux-arm64-openfpgaloader
4s
linux-arm64-openfpgaloader
linux-arm64-avy
18s
linux-arm64-avy
linux-arm64-cvc4
16s
linux-arm64-cvc4
linux-arm64-yices
12s
linux-arm64-yices
linux-arm64-z3
18m 55s
linux-arm64-z3
linux-arm64-gtkwave
7s
linux-arm64-gtkwave
linux-arm64-verilator
7m 41s
linux-arm64-verilator
linux-arm64-iverilog
12s
linux-arm64-iverilog
linux-arm64-utils
20s
linux-arm64-utils
linux-arm64-system-resources
5s
linux-arm64-system-resources
linux-arm64-xdot
11s
linux-arm64-xdot
linux-arm64-formal
3m 58s
linux-arm64-formal
linux-arm64-nextpnr-generic
11s
linux-arm64-nextpnr-generic
linux-arm64-nextpnr-ice40
19s
linux-arm64-nextpnr-ice40
linux-arm64-nextpnr-ecp5
14s
linux-arm64-nextpnr-ecp5
linux-arm64-nextpnr-machxo2
23s
linux-arm64-nextpnr-machxo2
linux-arm64-nextpnr-nexus
8s
linux-arm64-nextpnr-nexus
linux-arm64-nextpnr-himbaechel
17s
linux-arm64-nextpnr-himbaechel
linux-arm64-apicula
17s
linux-arm64-apicula
linux-arm64-python-programmers
14s
linux-arm64-python-programmers
linux-arm64-pyhdl
10s
linux-arm64-pyhdl
linux-arm64-cocotb
2m 12s
linux-arm64-cocotb
linux-arm64-boolector
14s
linux-arm64-boolector
linux-arm64-bitwuzla
8s
linux-arm64-bitwuzla
linux-arm64-eqy
1m 46s
linux-arm64-eqy
linux-arm64-suprove
13s
linux-arm64-suprove
linux-arm64-aiger
16s
linux-arm64-aiger
linux-arm64-cvc5
9s
linux-arm64-cvc5
linux-arm64-smt-switch
14s
linux-arm64-smt-switch
linux-arm64-pono
7s
linux-arm64-pono
linux-arm64-default
4m 17s
linux-arm64-default
Fit to window
Zoom out
Zoom in