From d93c087ce85d8f93534d8b607107926e316f9ee9 Mon Sep 17 00:00:00 2001 From: Lars Asplund Date: Sun, 21 Jul 2024 10:30:15 +0200 Subject: [PATCH] Emphasized pre-releases in release notes. --- docs/create_release_notes.py | 8 ++++++-- docs/news.d/+.deprecation.rst | 1 - docs/news.d/+cc958f43.misc.rst | 1 - docs/news.d/1001.bugfix.rst | 1 - docs/news.d/1004.feature.rst | 1 - docs/news.d/1005.feature.rst | 1 - docs/news.d/1007.feature.rst | 1 - docs/news.d/1018.bugfix.rst | 1 - docs/news.d/1025.feature.rst | 1 - docs/news.d/1026.misc.rst | 1 - docs/news.d/1032.feature.rst | 1 - docs/news.d/1033.feature.rst | 2 -- docs/news.d/1036.feature.rst | 4 ---- docs/news.d/1039.feature.rst | 1 - docs/news.d/179.feature.rst | 1 - docs/news.d/559.breaking.rst | 4 ---- docs/news.d/600.breaking.rst | 1 - docs/news.d/742.breaking.rst | 1 - docs/news.d/764.breaking.rst | 4 ---- docs/news.d/764.doc.rst | 1 - docs/news.d/776.feature.rst | 1 - docs/news.d/777.breaking.rst | 4 ---- docs/news.d/798.bugfix.rst | 1 - docs/news.d/799.bugfix.rst | 1 - docs/news.d/802.feature.rst | 1 - docs/news.d/889.bugfix.rst | 1 - docs/news.d/909.feature.rst | 1 - docs/news.d/924.bugfix.rst | 1 - docs/news.d/932.breaking.rst | 2 -- docs/news.d/933.breaking.rst | 1 - docs/news.d/940.misc.rst | 1 - docs/news.d/946.feature.rst | 2 -- docs/news.d/947.feature.rst | 1 - docs/news.d/948.feature.rst | 2 -- docs/news.d/949.feature.rst | 1 - docs/news.d/951.feature.rst | 1 - docs/news.d/954.bugfix.rst | 1 - docs/news.d/956.bugfix.rst | 1 - docs/news.d/965.bugfix.rst | 1 - docs/news.d/966.feature.rst | 1 - docs/news.d/967.feature.rst | 1 - docs/news.d/971.bugfix.rst | 1 - docs/news.d/972.misc.rst | 1 - docs/news.d/981.misc.rst | 1 - docs/news.d/983.breaking.rst | 1 - docs/news.d/989.feature.rst | 1 - docs/news.d/991.feature.rst | 1 - docs/news.d/992.misc.rst | 1 - docs/news.d/993.misc.rst | 1 - docs/news.d/994.feature.rst | 1 - docs/news.d/996.misc.rst | 1 - docs/news.d/998.feature.rst | 1 - 52 files changed, 6 insertions(+), 69 deletions(-) delete mode 100644 docs/news.d/+.deprecation.rst delete mode 100644 docs/news.d/+cc958f43.misc.rst delete mode 100644 docs/news.d/1001.bugfix.rst delete mode 100644 docs/news.d/1004.feature.rst delete mode 100644 docs/news.d/1005.feature.rst delete mode 100644 docs/news.d/1007.feature.rst delete mode 100644 docs/news.d/1018.bugfix.rst delete mode 100644 docs/news.d/1025.feature.rst delete mode 100644 docs/news.d/1026.misc.rst delete mode 100644 docs/news.d/1032.feature.rst delete mode 100644 docs/news.d/1033.feature.rst delete mode 100644 docs/news.d/1036.feature.rst delete mode 100644 docs/news.d/1039.feature.rst delete mode 100644 docs/news.d/179.feature.rst delete mode 100644 docs/news.d/559.breaking.rst delete mode 100644 docs/news.d/600.breaking.rst delete mode 100644 docs/news.d/742.breaking.rst delete mode 100644 docs/news.d/764.breaking.rst delete mode 100644 docs/news.d/764.doc.rst delete mode 100644 docs/news.d/776.feature.rst delete mode 100644 docs/news.d/777.breaking.rst delete mode 100644 docs/news.d/798.bugfix.rst delete mode 100644 docs/news.d/799.bugfix.rst delete mode 100644 docs/news.d/802.feature.rst delete mode 100644 docs/news.d/889.bugfix.rst delete mode 100644 docs/news.d/909.feature.rst delete mode 100644 docs/news.d/924.bugfix.rst delete mode 100644 docs/news.d/932.breaking.rst delete mode 100644 docs/news.d/933.breaking.rst delete mode 100644 docs/news.d/940.misc.rst delete mode 100644 docs/news.d/946.feature.rst delete mode 100644 docs/news.d/947.feature.rst delete mode 100644 docs/news.d/948.feature.rst delete mode 100644 docs/news.d/949.feature.rst delete mode 100644 docs/news.d/951.feature.rst delete mode 100644 docs/news.d/954.bugfix.rst delete mode 100644 docs/news.d/956.bugfix.rst delete mode 100644 docs/news.d/965.bugfix.rst delete mode 100644 docs/news.d/966.feature.rst delete mode 100644 docs/news.d/967.feature.rst delete mode 100644 docs/news.d/971.bugfix.rst delete mode 100644 docs/news.d/972.misc.rst delete mode 100644 docs/news.d/981.misc.rst delete mode 100644 docs/news.d/983.breaking.rst delete mode 100644 docs/news.d/989.feature.rst delete mode 100644 docs/news.d/991.feature.rst delete mode 100644 docs/news.d/992.misc.rst delete mode 100644 docs/news.d/993.misc.rst delete mode 100644 docs/news.d/994.feature.rst delete mode 100644 docs/news.d/996.misc.rst delete mode 100644 docs/news.d/998.feature.rst diff --git a/docs/create_release_notes.py b/docs/create_release_notes.py index 98edc1afb..eaa103569 100644 --- a/docs/create_release_notes.py +++ b/docs/create_release_notes.py @@ -36,7 +36,10 @@ def create_release_notes(): ) for idx, release in enumerate(releases): - title = f":vunit_commit:`{release.name!s} ` - {release.date.strftime('%Y-%m-%d')}" + title = f":vunit_commit:`{release.name!s} ` - " + if release.is_pre_release: + title += "PRE-RELEASE - " + title += f"{release.date.strftime('%Y-%m-%d')}" if idx == 0: title += " (latest)" @@ -68,7 +71,8 @@ class Release(object): def __init__(self, file_name, is_latest): self.suffix = file_name.suffix self.name = file_name.stem - tag = "v" + self.name + self.is_pre_release = "dev" in self.name + tag = "v" + self.name.replace(".dev", "-dev.") git = which("git") if git is None: diff --git a/docs/news.d/+.deprecation.rst b/docs/news.d/+.deprecation.rst deleted file mode 100644 index 38a8512eb..000000000 --- a/docs/news.d/+.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -Drop Python v3.6. diff --git a/docs/news.d/+cc958f43.misc.rst b/docs/news.d/+cc958f43.misc.rst deleted file mode 100644 index 1a268fcf5..000000000 --- a/docs/news.d/+cc958f43.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Bump to 2023.04. diff --git a/docs/news.d/1001.bugfix.rst b/docs/news.d/1001.bugfix.rst deleted file mode 100644 index 5a3e803d6..000000000 --- a/docs/news.d/1001.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Fixed memory leak in check/log functions. diff --git a/docs/news.d/1004.feature.rst b/docs/news.d/1004.feature.rst deleted file mode 100644 index 64ce27218..000000000 --- a/docs/news.d/1004.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[GHDL] Support selecting FST format for waveform files. diff --git a/docs/news.d/1005.feature.rst b/docs/news.d/1005.feature.rst deleted file mode 100644 index 632f4af4a..000000000 --- a/docs/news.d/1005.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[GHDL] Add support for mcode JIT in backend detection. diff --git a/docs/news.d/1007.feature.rst b/docs/news.d/1007.feature.rst deleted file mode 100644 index e345f4cdb..000000000 --- a/docs/news.d/1007.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[GHDL] Added coverage support for mcode backend. diff --git a/docs/news.d/1018.bugfix.rst b/docs/news.d/1018.bugfix.rst deleted file mode 100644 index d17650659..000000000 --- a/docs/news.d/1018.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VC] Fixed failing 4kB boundary check in AXI when using unaligned addresses. diff --git a/docs/news.d/1025.feature.rst b/docs/news.d/1025.feature.rst deleted file mode 100644 index 3d4c38ae2..000000000 --- a/docs/news.d/1025.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Update time reporting from test results. Also add timestamps when starting tests. diff --git a/docs/news.d/1026.misc.rst b/docs/news.d/1026.misc.rst deleted file mode 100644 index 75330152e..000000000 --- a/docs/news.d/1026.misc.rst +++ /dev/null @@ -1 +0,0 @@ -Improved error message when entity name in architecture statement is misspelled such that there is no matching entity. diff --git a/docs/news.d/1032.feature.rst b/docs/news.d/1032.feature.rst deleted file mode 100644 index 5bd16141f..000000000 --- a/docs/news.d/1032.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[Active-HDL] Added vunit_help, vunit_load, vunit_run, vunit_compile, vunit_restart, and vunit_user_init TCL commands to Active-HDL. diff --git a/docs/news.d/1033.feature.rst b/docs/news.d/1033.feature.rst deleted file mode 100644 index 54382f5b3..000000000 --- a/docs/news.d/1033.feature.rst +++ /dev/null @@ -1,2 +0,0 @@ -[Riviera-PRO, ModelSim/Questa, Active-HDL] Defined the TCL variable ``vunit_tb_name`` as the name of the top level design unit during the evaluation of the ``.init_file`` scripts for Active-HDL, Riviera-PRO and ModelSim/Qusta. -[Active-HDL] Defined the TCL variable ``vunit_tb_path`` during the evaluation of the ``activehdl.init_file.gui`` script. diff --git a/docs/news.d/1036.feature.rst b/docs/news.d/1036.feature.rst deleted file mode 100644 index 740aeefec..000000000 --- a/docs/news.d/1036.feature.rst +++ /dev/null @@ -1,4 +0,0 @@ -[NVC] VUnit now sets the ``NVC_CONCURRENT_JOBS`` environment variable to -the value of the ``--num-threads`` argument which allows ``nvc`` to -scale its worker thread count based on the number of concurrently -running simulations. diff --git a/docs/news.d/1039.feature.rst b/docs/news.d/1039.feature.rst deleted file mode 100644 index a6fb25c0d..000000000 --- a/docs/news.d/1039.feature.rst +++ /dev/null @@ -1 +0,0 @@ -It is possible to use all logical CPUs by passing ``-p0`` (``--num-threads=0``). See ``multiprocessing.cpu_count`` for how the number is determined. diff --git a/docs/news.d/179.feature.rst b/docs/news.d/179.feature.rst deleted file mode 100644 index 2199b0fae..000000000 --- a/docs/news.d/179.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Added support for simulating top-level VHDL configurations. diff --git a/docs/news.d/559.breaking.rst b/docs/news.d/559.breaking.rst deleted file mode 100644 index 638655110..000000000 --- a/docs/news.d/559.breaking.rst +++ /dev/null @@ -1,4 +0,0 @@ -HDL builtins are not compiled by default. -To preserve the functionality, the run script is now required to explicitly use methods -:meth:`add_vhdl_builtins() ` or -:meth:`add_verilog_builtins() `. diff --git a/docs/news.d/600.breaking.rst b/docs/news.d/600.breaking.rst deleted file mode 100644 index 40ec0276d..000000000 --- a/docs/news.d/600.breaking.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Remove ``array_pkg``; use ``integer_array_t`` instead. diff --git a/docs/news.d/742.breaking.rst b/docs/news.d/742.breaking.rst deleted file mode 100644 index 990992134..000000000 --- a/docs/news.d/742.breaking.rst +++ /dev/null @@ -1 +0,0 @@ -Continue after compilation failure if ``--keep-compiling`` is ``True``. diff --git a/docs/news.d/764.breaking.rst b/docs/news.d/764.breaking.rst deleted file mode 100644 index 638655110..000000000 --- a/docs/news.d/764.breaking.rst +++ /dev/null @@ -1,4 +0,0 @@ -HDL builtins are not compiled by default. -To preserve the functionality, the run script is now required to explicitly use methods -:meth:`add_vhdl_builtins() ` or -:meth:`add_verilog_builtins() `. diff --git a/docs/news.d/764.doc.rst b/docs/news.d/764.doc.rst deleted file mode 100644 index 5f1c259f6..000000000 --- a/docs/news.d/764.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Rename 'VHDL Libraries' to :ref:`hdl_libraries`. Add section :ref:`Guides `. diff --git a/docs/news.d/776.feature.rst b/docs/news.d/776.feature.rst deleted file mode 100644 index a82393b3d..000000000 --- a/docs/news.d/776.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add common log interface for third-party logging framework integration. diff --git a/docs/news.d/777.breaking.rst b/docs/news.d/777.breaking.rst deleted file mode 100644 index 638655110..000000000 --- a/docs/news.d/777.breaking.rst +++ /dev/null @@ -1,4 +0,0 @@ -HDL builtins are not compiled by default. -To preserve the functionality, the run script is now required to explicitly use methods -:meth:`add_vhdl_builtins() ` or -:meth:`add_verilog_builtins() `. diff --git a/docs/news.d/798.bugfix.rst b/docs/news.d/798.bugfix.rst deleted file mode 100644 index 477cc0385..000000000 --- a/docs/news.d/798.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VCs] Take ``tkeep`` and ``tstrb`` into account when checking for invalid ``tdata`` in ``axi_stream_protocol_checker``. diff --git a/docs/news.d/799.bugfix.rst b/docs/news.d/799.bugfix.rst deleted file mode 100644 index 477cc0385..000000000 --- a/docs/news.d/799.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VCs] Take ``tkeep`` and ``tstrb`` into account when checking for invalid ``tdata`` in ``axi_stream_protocol_checker``. diff --git a/docs/news.d/802.feature.rst b/docs/news.d/802.feature.rst deleted file mode 100644 index 2e6ac5eb6..000000000 --- a/docs/news.d/802.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VCs] Drive ``axi_lite_master``, ``axi_read_slave`` and ``axi_write_slave`` bus with ``'X'`` when valid is low. diff --git a/docs/news.d/889.bugfix.rst b/docs/news.d/889.bugfix.rst deleted file mode 100644 index 462c32a41..000000000 --- a/docs/news.d/889.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[Questa] Added workaround for bit-ordering bug in Questa diff --git a/docs/news.d/909.feature.rst b/docs/news.d/909.feature.rst deleted file mode 100644 index 31c2e4e00..000000000 --- a/docs/news.d/909.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Add ``check_equal`` for ``ieee.fixed_pkg`` types. diff --git a/docs/news.d/924.bugfix.rst b/docs/news.d/924.bugfix.rst deleted file mode 100644 index 56d68c8ef..000000000 --- a/docs/news.d/924.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[GHDL] Create missing directories when generating coverage report. diff --git a/docs/news.d/932.breaking.rst b/docs/news.d/932.breaking.rst deleted file mode 100644 index 1674ec29f..000000000 --- a/docs/news.d/932.breaking.rst +++ /dev/null @@ -1,2 +0,0 @@ -[GHDL] Remove ``ghdl.flags``; use ``ghdl.a_flags`` instead. - diff --git a/docs/news.d/933.breaking.rst b/docs/news.d/933.breaking.rst deleted file mode 100644 index 46ffb4a52..000000000 --- a/docs/news.d/933.breaking.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Remove deprecated ``check``, ``log`` and ``run`` packages. diff --git a/docs/news.d/940.misc.rst b/docs/news.d/940.misc.rst deleted file mode 100644 index aed570158..000000000 --- a/docs/news.d/940.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[NVC] Multi-word command line arguments are now quoted in the log. diff --git a/docs/news.d/946.feature.rst b/docs/news.d/946.feature.rst deleted file mode 100644 index 902686ba2..000000000 --- a/docs/news.d/946.feature.rst +++ /dev/null @@ -1,2 +0,0 @@ -[NVC] New simulation and compile option ``nvc.global_flags`` can be used -to pass arbitrary flags to ``nvc``. diff --git a/docs/news.d/947.feature.rst b/docs/news.d/947.feature.rst deleted file mode 100644 index a82393b3d..000000000 --- a/docs/news.d/947.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add common log interface for third-party logging framework integration. diff --git a/docs/news.d/948.feature.rst b/docs/news.d/948.feature.rst deleted file mode 100644 index 902686ba2..000000000 --- a/docs/news.d/948.feature.rst +++ /dev/null @@ -1,2 +0,0 @@ -[NVC] New simulation and compile option ``nvc.global_flags`` can be used -to pass arbitrary flags to ``nvc``. diff --git a/docs/news.d/949.feature.rst b/docs/news.d/949.feature.rst deleted file mode 100644 index a82393b3d..000000000 --- a/docs/news.d/949.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add common log interface for third-party logging framework integration. diff --git a/docs/news.d/951.feature.rst b/docs/news.d/951.feature.rst deleted file mode 100644 index 2199b0fae..000000000 --- a/docs/news.d/951.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Added support for simulating top-level VHDL configurations. diff --git a/docs/news.d/954.bugfix.rst b/docs/news.d/954.bugfix.rst deleted file mode 100644 index 5a3e803d6..000000000 --- a/docs/news.d/954.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Fixed memory leak in check/log functions. diff --git a/docs/news.d/956.bugfix.rst b/docs/news.d/956.bugfix.rst deleted file mode 100644 index 5a3e803d6..000000000 --- a/docs/news.d/956.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Fixed memory leak in check/log functions. diff --git a/docs/news.d/965.bugfix.rst b/docs/news.d/965.bugfix.rst deleted file mode 100644 index 6663b0072..000000000 --- a/docs/news.d/965.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Compile correct MemoryPkg files for simulators supporting generic packages. diff --git a/docs/news.d/966.feature.rst b/docs/news.d/966.feature.rst deleted file mode 100644 index 81a10c1b8..000000000 --- a/docs/news.d/966.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[Parsing] Allow multiply and divide within component instantiations. diff --git a/docs/news.d/967.feature.rst b/docs/news.d/967.feature.rst deleted file mode 100644 index 64ce27218..000000000 --- a/docs/news.d/967.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[GHDL] Support selecting FST format for waveform files. diff --git a/docs/news.d/971.bugfix.rst b/docs/news.d/971.bugfix.rst deleted file mode 100644 index 823a13065..000000000 --- a/docs/news.d/971.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fixed bug for ``check_relation`` when using custom checker. diff --git a/docs/news.d/972.misc.rst b/docs/news.d/972.misc.rst deleted file mode 100644 index e26c54302..000000000 --- a/docs/news.d/972.misc.rst +++ /dev/null @@ -1 +0,0 @@ -Removed unused code. diff --git a/docs/news.d/981.misc.rst b/docs/news.d/981.misc.rst deleted file mode 100644 index 3ddd5b8a4..000000000 --- a/docs/news.d/981.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[Questa/Modelsim] Remove compile warnings. diff --git a/docs/news.d/983.breaking.rst b/docs/news.d/983.breaking.rst deleted file mode 100644 index 1c1cf3508..000000000 --- a/docs/news.d/983.breaking.rst +++ /dev/null @@ -1 +0,0 @@ -Remove 1 ms gate lock timeout. diff --git a/docs/news.d/989.feature.rst b/docs/news.d/989.feature.rst deleted file mode 100644 index 31c2e4e00..000000000 --- a/docs/news.d/989.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Add ``check_equal`` for ``ieee.fixed_pkg`` types. diff --git a/docs/news.d/991.feature.rst b/docs/news.d/991.feature.rst deleted file mode 100644 index 4e42a9763..000000000 --- a/docs/news.d/991.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add ``py.typed`` file to indicate the existence of Python typing hints. diff --git a/docs/news.d/992.misc.rst b/docs/news.d/992.misc.rst deleted file mode 100644 index f5c11ce93..000000000 --- a/docs/news.d/992.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Minimize imports in packages and VCs in an effort to reduce simulator startup time. diff --git a/docs/news.d/993.misc.rst b/docs/news.d/993.misc.rst deleted file mode 100644 index 3ddd5b8a4..000000000 --- a/docs/news.d/993.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[Questa/Modelsim] Remove compile warnings. diff --git a/docs/news.d/994.feature.rst b/docs/news.d/994.feature.rst deleted file mode 100644 index 2e6ac5eb6..000000000 --- a/docs/news.d/994.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VCs] Drive ``axi_lite_master``, ``axi_read_slave`` and ``axi_write_slave`` bus with ``'X'`` when valid is low. diff --git a/docs/news.d/996.misc.rst b/docs/news.d/996.misc.rst deleted file mode 100644 index 3ddd5b8a4..000000000 --- a/docs/news.d/996.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[Questa/Modelsim] Remove compile warnings. diff --git a/docs/news.d/998.feature.rst b/docs/news.d/998.feature.rst deleted file mode 100644 index 31c2e4e00..000000000 --- a/docs/news.d/998.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL] Add ``check_equal`` for ``ieee.fixed_pkg`` types.